Victorian Node

Specific capabilities: chemo and bio manipulation of nanostructures (including lithography); packing of components into functional devices; and rapid prototyping to design and build devices.

The Victorian node of the ANFF will provide researchers with access to a broad range of cross-disciplinary fabrication and integration capabilities comprising a full research product value chain and service compatible with best international practise.

The node is run by a consortium consisting of: Monash University, The University of Melbourne, Deakin University, LaTrobe University, Swinburne University, CSIRO and the Victorian Government. RMIT is an associate member of the node. The ANFF headquarters are also based at the node.

Establishment of the node involves two components:

  • access to existing nano-fabrication research infrastructure at each participating research institution
  • construction of a new purpose-built facility known as the Melbourne Centre for Nanofabrication, adjacent to the Monash University campus at Clayton, Victoria.

Activities will be undertaken at participating institutions and at the new central facility to provide a complete process stream from design through to proof of concept.

 

 

Click here for the Melbourne Centre for Nanofabrication (MCN) website 

 


Contact Details

Managing Director: Dr Dwayne Kirk
Email: dwayne.kirk@monash.edu
Phone: +61 3  9902 4049

Science Director: Dr Gareth Moorhead
Email: gareth.moorhead@csiro.au
Phone: +61 3 9902 4073

Facility Manager: Dr Paul Spizzirri
Email: paul.spizzirri@monash.edu
Phone: +61 3  9902 9653

Instrumentation Available

   MCN (Clean Rooms only)  

  • Electron Beam Lithography System
  • Dual-Beam Focussed ion beam-SEM
  • Photolithography (Mask Aligner)
  • Spinner (High Quality)
  • Wet - benches  for developing, spinning (2)
  • Spinners (Soft Matter, 2 general purpose)
  • Programmable hotplates ( 3-4)
  • Optical Microscopy ( High magnification)
  • Optical Microscopy ( low power stereo)
  • Vacuum oven
  • Mobile Storage Cabinets
  • Ellipsometer
  • AFM (Soft + Hard Matter)
  • Stylus Profilometer
  • Optical Profilometer
  • Optical Microscopy ( Low power binocular zoom)
  • Wet - Benches ( 3, two  8' one 10')
  • Electroforming - DC ( nickel)
  • Computer controlled system for polymer embossing, wafer bonding
  • Lab  Oven (General purpose) x 2
    Barrel plasma etcher (asher)
    Small electroplating of gold, copper etc
  • PVD – Thermal  / e-beam (1) and Sputtering (2)
  • Reactive Ion Etcher (RIE) systems ( Inc DRIE)
  • PE CVD - (Plasma Enhanced)
  • Gas cabinets for etch systems
  • Tube furnace- controlled atmosphere
  • OLED and Solar Cell Dry box system with metalliser

 

 

 

 

   For a complete listing of equipment  available in the Victorian and other nodes please click here

 

 

 


Funding Sources